Книжная полка Сохранить
Размер шрифта:
А
А
А
|  Шрифт:
Arial
Times
|  Интервал:
Стандартный
Средний
Большой
|  Цвет сайта:
Ц
Ц
Ц
Ц
Ц

Вестник Воронежского института ФСИН России, 2020, № 3 (июль-сентябрь)

научный журнал
Покупка
Основная коллекция
Артикул: 753605.0001.99
Вестник Воронежского института ФСИН России : научный журнал. - Воронеж : Воронежский институт ФСИН России, 2020. - № 3 (июль-сентябрь). - 240 с. - ISSN 2223-3873. - Текст : электронный. - URL: https://znanium.com/catalog/product/1241333 (дата обращения: 26.04.2024). – Режим доступа: по подписке.
Фрагмент текстового слоя документа размещен для индексирующих роботов. Для полноценной работы с документом, пожалуйста, перейдите в ридер.
ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ

УЧРЕДИТЕЛЬ:
Федеральное казенное образовательное учреждение высшего образования
«Воронежский институт Федеральной службы исполнения наказаний»

Журнал зарегистрирован в Федеральной службе по надзору в сфере связи, информационных технологий и массовых 
коммуникаций (Роскомнадзор). Свидетельство о регистрации ПИ № ФС 77-45348 от 09 июня 2011 г.
Журнал включен в Перечень рецензируемых научных изданий, в которых должны быть опубликованы основные научные результаты диссертаций на соискание ученой степени кандидата наук, на соискание ученой степени доктора 
наук

Журнал принимает к публикации статьи по трем группам специальностей научных работников: 05.12.00 – Радиотехника и связь (05.12.04 – Радиотехника, в том числе системы и устройства телевидения; 05.12.07 – Антенны, СВЧ устройства и их технологии; 05.12.13 – Системы, сети и устройства телекоммуникаций); 05.13.00 – Информатика, вычислительная техника и управление (05.13.01 – Системный анализ, управление и обработка информации; 05.13.10 – 
Управление в социальных и экономических системах; 05.13.17 – Теоретические основы информатики; 05.13.18 – Математическое моделирование, численные методы и комплексы программ; 05.13.19 – Методы и системы защиты информации, информационная безопасность); 12.00.00 – Юридические науки (12.00.01 – Теория и история права и государства; история учений о праве и государстве; 12.00.08 – Уголовное право и криминология; уголовно-исполнительное 
право; 12.00.11 – Судебная деятельность, прокурорская деятельность, правозащитная и правоохранительная деятельность; 12.00.12 – Криминалистика; судебно-экспертная деятельность; оперативно-разыскная деятельность; 
12.00.14 – Административное право; административный процесс).

Мнение редакции может не совпадать с точкой зрения авторов публикаций. Ответственность за содержание публикаций и достоверность фактов несут авторы материалов. Редакция не вступает в переписку с авторами писем; рукописи 
не возвращаются. При полной или частичной перепечатке или воспроизведении любым способом ссылка на источник 
обязательна. 

РЕДАКЦИОННЫЙ СОВЕТ ЖУРНАЛА:

П р е д с е д а т е л ь
Балан Валерий Павлович – заместитель директора ФСИН России, 
кандидат юридических наук, доцент

Ч л е н ы  с о в е т а
Выхорь Сергей Степанович  – начальник Воронежского института ФСИН России, кандидат исторических наук;
Гиричев Алексей Иванович – начальник УФСИН России по Воронежской области;
Громов Юрий Юрьевич – директор Института автоматики и информационных технологий 
Тамбовского государственного технического университета, доктор технических наук, профессор;
Жиляков Евгений Георгиевич – декан факультета компьютерных наук и телекоммуникаций 
Белгородского государственного университета, доктор технических наук, профессор;
Зинченко Борис Юрьевич – руководитель Управления по взаимодействию 
с административными и военными органами правительства Воронежской области;
Саликов Андрей Юрьевич – прокурор Воронежской области по надзору 
за соблюдением законов при исполнении уголовных наказаний.

РЕДАКЦИОННАЯ КОЛЛЕГИЯ:

Г л а в н ы й  р е д а к т о р
Зыбин Дмитрий Георгиевич – кандидат технических наук, доцент, заместитель начальника института 
по научной работе (Воронежский институт ФСИН России, Воронеж, Россия)
Ч л е н ы  к о л л е г и и
Абрамов Геннадий Владимирович – профессор кафедры математического и прикладного анализа, 
доктор технических наук, профессор (Воронежский государственный университет, Воронеж, Россия);
Алиуллов Рашид Рахимуллович – начальник кафедры административного права, 
административной деятельности и управления органов внутренних дел, доктор юридических наук 
(Казанский юридический институт МВД России, Казань, Республика Татарстан);
Ашихмин Александр Владимирович – директор, доктор технических наук, профессор 
(ОСП АО «Иркос», Воронеж, Россия);
Басов Олег Олегович – начальник факультета, доктор технических наук (Академия ФСО России, Орел, Россия);
Белокуров Сергей Владимирович – профессор кафедры информационной безопасности, 
доктор технических наук, профессор 
(Воронежский государственный университет инженерных технологий, Воронеж, Россия);
Бокова Оксана Игоревна – заместитель начальника института по научной работе, 
доктор технических наук, профессор (Воронежский институт МВД России, Воронеж, Россия);
Булгаков Олег Митрофанович – первый заместитель начальника, доктор технических наук, профессор 
(Краснодарский университет МВД России, Краснодар, Россия);
Воронов Александр Алексеевич – профессор кафедры гражданского и трудового права, 
доктор юридических наук, профессор (Воронежский институт ФСИН России, Воронеж, Россия);

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

Головкин Роман Борисович – профессор кафедры теории и истории государства и права, доктор юридических наук, 
профессор (ВлГУ имени Александра Григорьевича и Николая Григорьевича Столетовых, Владимир, Россия);
Головко Владимир Владимирович – начальник учебно-научного комплекса профессиональной, служебной 
и физической подготовки, доктор юридических наук, профессор (Омская академия МВД России, Омск, Россия);
Голубинский Андрей Николаевич – начальник отдела, доктор технических наук, доцент 
(АО «Концерн “Созвездие”», Воронеж, Россия);
Горяинов Константин Константинович – главный научный сотрудник центра исследования проблем 
обеспечения безопасности в учреждениях уголовно-исполнительной системы, доктор юридических наук, профессор 
(НИИ ФСИН России, Москва, Россия);
Дворянсков Иван Владимирович – главный научный сотрудник отдела разработки методологий исполнения 
уголовных наказаний без лишения свободы центра исследования проблем исполнения уголовных наказаний 
и психологического обеспечения профессиональной деятельности сотрудников уголовно-исполнительной системы, 
доктор юридических наук, доцент (НИИ ФСИН России, Москва, Россия);
Дубровин Анатолий Станиславович – профессор кафедры информационной безопасности телекоммуникационных 
систем, доктор технических наук, доцент (Воронежский институт ФСИН России, Воронеж, Россия);
Калач Андрей Владимирович – начальник кафедры безопасности информации и защиты сведений, составляющих 
государственную тайну, доктор химических наук, профессор (Воронежский институт ФСИН России, Воронеж, Россия);
Климов Александр Иванович – профессор кафедры инфокоммуникационных систем и технологий, 
доктор технических наук, доцент (Воронежский институт МВД России, Воронеж, Россия);
Ланкин Олег Викторович – начальник кафедры общепрофессиональных дисциплин, 
доктор технических наук, доцент (ВИПС (филиал) Академии ФСО России, Воронеж, Россия);
Лелеков Виктор Андреевич – профессор кафедры уголовно-исполнительного и уголовного права, 
доктор юридических наук, профессор (Воронежский институт ФСИН России, Воронеж, Россия);
Меньших Валерий Владимирович – профессор кафедры математики и моделирования систем, 
доктор физико-математических наук, профессор (Воронежский институт МВД России, Воронеж, Россия);
Минязева Татьяна Федоровна – заведующий кафедрой уголовного права и процесса, 
доктор юридических наук, профессор (Российский университет дружбы народов, Москва, Россия);
Миронов Анатолий Николаевич – профессор кафедры конституционного и муниципального права, 
доктор юридических наук, доцент (Владимирский филиал РАНХиГС, Владимир, Россия);
Николаев Валерий Иванович – научный референт, доктор технических наук, профессор 
(АО «Концерн “Созвездие”», Воронеж, Россия);
Новосельцев Виктор Иванович – профессор кафедры информационной безопасности телекоммуникационных систем, 
доктор технических наук, старший научный сотрудник (Воронежский институт ФСИН России, Воронеж, Россия);
Омелин Виктор Николаевич – главный научный сотрудник центра исследования проблем обеспечения безопасности 
в учреждениях уголовно-исполнительной системы НИИ ФСИН России, доктор юридических наук, профессор 
(НИИ ФСИН России, Москва, Россия);
Останков Александр Витальевич – профессор кафедры радиотехники, доктор технических наук, доцент 
(Воронежский государственный технический университет, Воронеж, Россия);
Полищук Николай Иванович – профессор кафедры теории государства и права, международного 
и европейского права, доктор юридических наук, профессор (Академия ФСИН России, Рязань, Россия);
Россихина Лариса Витальевна – ученый секретарь, доктор технических наук, доцент 
(Воронежский институт ФСИН России, Воронеж, Россия);
Саитов Игорь Акрамович – начальник факультета, доктор технических наук, профессор 
(Академия ФСО России, Орел, Россия);
Сизоненко Александр Борисович – начальник кафедры информационной безопасности, 
доктор технических наук, доцент (Краснодарский университет МВД России, Краснодар, Россия);
Скрыпников Алексей Васильевич – заведующий кафедрой информационной безопасности, доктор технических наук, 
профессор (Воронежский государственный университет инженерных технологий, Воронеж, Россия);
Соловьев Александр Семенович – профессор кафедры безопасности информации и защиты сведений, составляющих 
государственную тайну, доктор технических наук, доцент (Воронежский институт ФСИН России, Воронеж, Россия);
Степанов Леонид Викторович – профессор кафедры технических комплексов охраны и связи, 
доктор технических наук, профессор (Воронежский институт ФСИН России, Воронеж, Россия);
Сумин Виктор Иванович – профессор кафедры информационной безопасности телекоммуникационных систем, 
доктор технических наук, профессор (Воронежский институт ФСИН России, Воронеж, Россия);
Ткачук Татьяна Алексеевна – начальник кафедры уголовно-процессуального права и криминалистики, доктор 
юридических наук, профессор (Владимирский юридический институт ФСИН России, Владимир, России);
Токарев Антон Борисович – профессор кафедры радиотехники, доктор технических наук, доцент 
(Воронежский государственный технический университет, Воронеж, Россия);
Третьякова Ольга Дмитриевна – директор Юридического института, доктор юридических наук, доцент 
(ВлГУ имени Александра Григорьевича и Николая Григорьевича Столетовых, Владимир, Россия);
Федотов Игорь Славович – заместитель председателя суда, доктор юридических наук, доцент 
(Ленинский районный суда г. Воронежа, Воронеж, Россия);
Хвостов Анатолий Анатольевич – профессор кафедры математики, доктор технических наук, профессор 
(ВУНЦ ВВС «ВВА им. проф. Н. Е. Жуковского и Ю. А. Гагарина», Воронеж, Россия).
М е ж д у н а р о д н ы е  ч л е н ы  р е д а к ц и о н н о й  к о л л е г и и
Яскевич Александр Васильевич – кандидат юридических наук, доцент, проректор по научной работе Академии МВД 
Республики Беларусь (Академия МВД Республики Беларусь, Минск, Белоруссия).

 
 
 
 
 
Адрес редакции, издателя:  
394072, г. Воронеж, ул. Иркутская, 1а
 
 
 
 
 
 
 
 
Воронежский институт ФСИН России
 
 
 
 
 
 
 
 
E-mail: vestnik_vifsin@mail.ru; тел.: (473) 260-68-09

ISSN 2223-3873  
© Воронежский институт ФСИН России, 2020

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

PROCEEDINGS OF VORONEZH INSTITUTE 
OF THE RUSSIAN FEDERAL PENITENTIONARY SERVICE
The founder of the journal is Federal state educational institution 
«Voronezh institute of the Russian Federal Penitentiary Service»

The journal is registered in The Federal Service for Supervision of Communications, Information Technology, and Mass 
Media. Registration certificate PI № FS 77-45348 dated 09 June, 2011.
The journal is included in the List of Peer-reviewed Scientific Journals recommended by the Higher Attestation Commission 
of the Russian Ministry of Education and Science.

The magazine accepts to the publication three scientific groups articles: 05.12.00 – Radio engineering and communication 
(05.12.04 – Radio engineering, including systems and television design; 05.12.07 – Antennas, microwave devices and their 
technology; 05.12.13 – Systems, networks and devices of telecommunications); 05.13.00 – Informatics, computing and 
management (05.13.01 – System analysis, management and information processing; 05.13.10 – Management in social and 
economic systems; 05.13.17 – Theoretical fundamentals of informatics; 05.13.18 – Mathematical modeling, numerical 
methods and programs complexes; 05.13.19 – Methods and systems of information protection, information security); 
12.00.00 – Jurisprudence (12.00.01 – Theory and history of right and state; history of doctrines on right and state; 12.00.08 – 
Criminal law and criminology; criminal - executive law; 12.00.11 – Judicial authority, public prosecutor’s supervision, 
management of law-enforcement activity; 12.00.12 – Criminalistics; judicial and expert activity; investigation and search 
activities; 12.00.14 – Administrative law; administrative process).

Editorial opinion can not coincide with the author’s point of view. The authors bear responsibility for the content of publications 
and reliability of the facts. The editorial office does not enter into correspondence with authors of letters; manuscripts are 
not returned. In case of full or partial reprint or reproduction in any way the reference to the source is obligatory.

EDITORIAL COUNCIL:

C h a i r m a n 
Balan Valery Pavlovich – deputy director of the Russian Federal Penitentiary Service, 
Candidate of Law, Associate Professor

M e m b e r s  o f  t h e  C o u n c i l
Vykhor Sergey Stepanovich – Head of Voronezh Institute of the Russian Federal Penitentiary Service, 
Candidate of Historical sciences;
Girichev Alexey Ivanovich – Head of Russian Federal Penitentiary Service Administration in Voronezh region;
Gromov Yury Yuryevich – Director of Institute of Automatic Equipment and Information Technologies 
of Tambov State Technical University, Doctor of Technical Sciences, Professor;
Zhilyakov Eugeny Georgievich – Dean of the Computer Science and Telecommunications Faculty 
of Belgorod State University, Doctor of Technical Sciences, Professor
Zinchenko Boris Yuryevich – Head of the Office for Cooperation with the Administrative 
and Military Authorities of the Voronezh region Government;
Salikov Andrey Yuryevich – Prosecutor on supervision of law-abidingness in Voronezh region correctional institutions.

EDITORIAL BOARD:

E d i t o r - i n - C h i e f
Zybin Dmitriy Georgiyevich – Deputy Head on Scientific work, Candidate of Technical Sciences, Associate Professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia)

M e m b e r s  o f  t h e  e d i t o r i a l  b o a r d
Abramov Gennady Vladimirovich – Professor of Mathematical and Applied Analysis Chair, 
Doctor of Technical Sciences, Professor (Voronezh State University, Voronezh, Russia);
Aliullov Rasheed Rakhimullovich – Head of Administrative law, Administrative Activity and Management 
of Law-enforcement Agencies Chair, Doctor of Law 
(Kazan Law Institute of the Russian Ministry of the Interior, Kazan, Republic of Tatarstan); 
Ashikhmin Alexander Vladimirovich – Director, Doctor of Technical Sciences, Professor 
(OSP JSC “Irkos”, Voronezh, Russia);
Basov Oleg Olegovich – Head of faculty, Doctor of Technical Sciences (FSO Academy of Russia, Oryol, Russia);
Belokurov Sergey Vladimirovich – Professor of Information Security Chair, Doctor of Technical Sciences, Professor
(Voronezh State University of Engineering Technologies, Voronezh, Russia); , 
Bokova Oksana Igorevna – Deputy Head on Scientific work, Doctor of Technical Sciences, Professor 
(Voronezh Institute of the Russian Ministry of the Interior, Voronezh, Russia);
Bulgakov Oleg Mitrofanovich – First Deputy Head, Doctor of Technical Sciences, Professor 
(Krasnodar University of the Russian Ministry of the Interior, Krasnodar, Russia);
Voronov Alexander Alekseyevich – Professor of Civil and Labor Law Chair, Doctor of Law, Professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Golovkin Roman Borisovich – Professor of Theory and History of State and Law Chair, Doctor of Law Sciences, Professor 
(Alexander Grigoryevich and Nikolay Grigoryevich Stoletovykh Vladimir State University, Vladimir, Russia);
Golovko Vladimir Vladimirovich – Head of Educational and Scientific Complex of Professional, 
Service and Physical training, Doctor of Law, Professor 
(Omsk Academy of the Russian Ministry of the Interior, Omsk, Russia);

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

Golubinsky Andrey Nikolaevich – Doctor of Technical Sciences, Associate Professor 
(JSC “Concern “Sozvezdiye”, Voronezh, Russia);
Goryainov Konstantin Konstantinovich – Chief Researcher of the Research Center of Safety Problems 
in Penitentiary System Institutions, Doctor of Law, Professor 
(Scientific Research Institute of the Russian Federal Penitentiary Service, Moscow, Russia);
Dvoryanskov Ivan Vladimirovich – Chief Researcher of the Department of criminal penalties execution without 
imprisonment methodologies development of  the Research Center of problems in criminal penalties execution 
and psychological support of employees professional activity in a penitentiary service, Doctor of Law, associate professor 
(Russian FSIN Scientific Research Institute, Moscow, Russia);
Dubrovin Anatoly Stanislavovich – Professor of Telecommunication Systems Information Security Chair, 
Doctor of Technical Sciences, Associate professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Kalatch Andrey Vladimirovich – Head of Information Security and State Secret Data Protection Chair, 
Doctor of Chemical Sciences, Professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Klimov Alexander Ivanovich – Professor of Infocommunication Systems and Technologies Chair, 
Doctor of Technical Sciences, Associate professor 
(Voronezh Institute of the Russian Ministry of the Interior, Voronezh, Russia);
Lankin Oleg Viktorovich – Head of All-professional Disciplines Chair, Doctor of Technical Sciences, Associate professor 
(VIPS (branch) of Russian FSO Academy, Voronezh, Russia);
Lelekov Victor Andreevich – Professor of Criminal- Executive and Criminal law Chair, Doctor of Law, Professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Men’shikh Valery Vladimirovich – Professor of Mathematics and Systems Modeling Chair, 
Doctor of Physical and Mathematical Sciences, Professor 
(Voronezh Institute of the Russian Ministry of the Interior, Voronezh, Russia);
Minyazeva Tatyana Fyodorovna – Head of Criminal Law and Process Chair, Doctor of Law, Professor 
(Peoples’ Friendship University of Russia, Moscow, Russia);
Mironov Anatoly Nikolaevich – Professor of Constitutional and Municipal Law Chair, Doctor of Law, Associate professor 
(Vladimir branch of RANHiGS, Vladimir, Russia);
Nikolaev Valery Ivanovich – Scientific Reviewer, Doctor of Technical Sciences, Professor 
(JSC “Concern “Sozvezdiye”, Voronezh, Russia);
Novoseltsev Viktor Ivanovich – Professor of Telecommunication Systems Information Security Chair, 
Doctor of Technical Sciences, Senior Research Associate 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Omelin Victor Nikolaevich – Chief Researcher of the Research Center of Safety Problems 
in Penitentiary Institutions at the Russian Federal Penitentiary Service Scientific Research Institute, 
Doctor of Law, Professor (the Russian Federal Penitentiary Service Scientific Research Institute, Moscow, Russia);
Ostankov Alexander Vitalyevich – Professor of Radio Engineering Chair, 
Doctor of Technical Sciences, Associate Professor 
(Voronezh State Technical University, Voronezh, Russia);
Polishchuk Nikolay Ivanovich – Professor of State and Law Theory, International and European law Chair, 
Doctor of Law, Professor (the Russian Federal Penitentiary Academy, Ryazan, Russia);
Rossikhina Larisa Vitalyevna – Scientific Secretary, Doctor of Technical Sciences, Associate professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Saitov Igor Akramovich – Head of Faculty, Doctor of Technical Sciences, Professor 
(FSO Academy of Russia, Oryol, Russia);
Sizonenko Alexander Borisovich – Head of Information Security Chair, 
Doctor of Technical Sciences, Associate professor 
(Krasnodar University of the Russian Ministry of the Interior, Krasnodar, Russia);
Skrypnikov Alexey Vasilyevich – Head of Information Security Chair, Doctor of Technical Sciences, Professor 
(Voronezh State University of Engineering Technologies, Voronezh, Russia);
Solovyov Alexander Semyonovich – Professor of of Information Security and State Secret Data Protection Chair, 
Doctor of Technical Sciences, Associate Professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Stepanov Leonid Viktorovich – Professor of Protection and Communication Technical Complexes Chair, 
Doctor of Technical Sciences, Professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Sumin Victor Ivanovich – Professor of Telecommunication Systems Information Security Chair, 
Doctor of Technical Sciences, Professor 
(Voronezh Institute of the Russian Federal Penitentiary Service, Voronezh, Russia);
Tkachuk Tatyana Alekseevna – Head of Criminal Procedure Law and Criminalistics Chair, Doctor of Law, Professor 
(Vladimir Law Institute of the Russian Federal Penitentiary Service, Vladimir, Russia);
Tokarev Anton Borisovich – Professor of Radio Engineering Chair, Doctor of Technical Sciences, Associate professor 
(Voronezh State Technical University, Voronezh, Russia);
Tretyakova Olga Dmitriyevna – Director of Law Institute, Doctor of Law, Associate professor 
(Alexander Grigoryevich and Nikolay Grigoryevich Stoletovykh Vladimir State University, Vladimir, Russia);
Fedotov Igor Slavovich – Vice-Chairman of court, Doctor of Law, Associate professor 
(Leninsky district court of Voronezh, Voronezh, Russia);
Khvostov Anatoly Anatolyevich – Professor of Mathematics Chair, Doctor of Technical Sciences, Professor 
(Air Force Military Training and Research Center «Air Force Academy 
named after professor N. E. Zhukovsky and Yu. A. Gagarin», Voronezh, Russia).
T h e  i n t e r n a t i o n a l  m e m b e r s  o f  t h e  e d i t o r i a l  b o a r d
Yaskevich Alexander Vasilyevich – Vice-Rector, Candidate of Law, Associate professor 
(Academy of the Belarus Ministry of the Interior, Minsk, Belarus).

 
 
 
 
Address of Editors Office, Publisher: 
Irkutskaya St., 1a, 394072, Voronezh, Russia
 
 
 
 
 
 
 
 
Voronezh institute of the Russian Federal 
 
 
 
 
 
 
 
 
Penitentiary Service. 
 
 
 
 
 
 
 
 
E-mail: vestnik_vifsin@mail.ru; тел.: (473) 260-68-09

ISSN 2223-3873  
© Voronezh institute of the Russian Federal Penitentiary Service, 2020

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

В Е С Т Н И К 
В о р о н е ж с к о г о 
и н с т и т у т а
ФСИН России

Вестник Воронежского института ФСИН России, 2020, 
№ 3, июль–сентябрь

НАУЧНЫЙ 
ЖУРНАЛ

Выходит 4 раза в год

РАДИОТЕХНИКА И СВЯЗЬ

Пирогов А. А., Пирогова Ю. А., Башкиров А. В., Чепелев М. Ю., Жилин Б. И. МЕТОДИКА 
ПРОЕКТИРОВАНИЯ ПРЕОБРАЗОВАТЕЛЯ КОДА ГРЕЯ НА ПЛИС .................................................9
ИНФОРМАТИКА, ВЫЧИСЛИТЕЛЬНАЯ ТЕХНИКА И УПРАВЛЕНИЕ

Буркова К. О., Лазарева Е. А., Глейберман Н. С., Калач А. В. АКТУАЛЬНЫЕ ВОПРОСЫ 
ОБЕСПЕЧЕНИЯ БЕЗОПАСНОСТИ ИНФОРМАЦИИ ПРИ ИНТЕРНЕТ-ГОЛОСОВАНИИ 
ЗА ПОПРАВКИ К КОНСТИТУЦИИ РОССИИ .......................................................................................15
Виткова Л. А.,Чечулин А. А.,Сахаров Д. В. ВЫБОР МЕР ПРОТИВОДЕЙСТВИЯ 
ВРЕДОНОСНОЙ ИНФОРМАЦИИ В СОЦИАЛЬНЫХ СЕТЯХ ...........................................................20
Гаврилов В. Е., Зацаринный А. А. ИССЛЕДОВАНИЕ ПРОБЛЕМ 
НОРМАТИВНО-МЕТОДИЧЕСКОГО РЕГУЛИРОВАНИЯ 
В ОБЛАСТИ ИНФОРМАЦИОННОЙ БЕЗОПАСНОСТИ ПРОЦЕССОВ СОЗДАНИЯ 
И ВНЕДРЕНИЯ ИНФОРМАЦИОННЫХ ТЕХНОЛОГИЙ, РАЗРАБАТЫВАЕМЫХ 
В РАМКАХ ПРОГРАММЫ «ЦИФРОВАЯ ЭКОНОМИКА» ...................................................................30
Гречаный С. А., Логинов С. П., Романов М. С., Марченко К. С. ОПРЕДЕЛЕНИЕ ПОКАЗАТЕЛЕЙ 
ЭФФЕКТИВНОСТИ ПОДГОТОВКИ СОТРУДНИКОВ ПРАВООХРАНИТЕЛЬНЫХ 
ОРГАНОВ К ВЫПОЛНЕНИЮ СЛУЖЕБНЫХ ОБЯЗАННОСТЕЙ, СВЯЗАННЫХ 
С ПРИМЕНЕНИЕМ ОРУЖИЯ .................................................................................................................38
Жилин Р. А., Мельников А. В., Щербакова И. В. ЧИСЛЕННЫЙ МЕТОД ОЦЕНКИ 
НЕОБХОДИМОСТИ ИСПОЛЬЗОВАНИЯ АЛЬТЕРНАТИВНЫХ КОАЛИЦИЙ 
ПРИ АНАЛИЗЕ ИНТЕГРАЛЬНЫХ ПОКАЗАТЕЛЕЙ ОПАСНОСТИ НАРУШИТЕЛЕЙ 
В СФЕРЕ ФИЗИЧЕСКОЙ ЗАЩИТЫ ОБЪЕКТОВ ................................................................................45
Исаев О. В., Исаева К. В., Толстых О. В. МЕТОДЫ ПРОГНОЗИРОВАНИЯ И ОЦЕНКИ 
ЭФФЕКТИВНОСТИ СЛОЖНЫХ СИСТЕМ В УСЛОВИЯХ МНОГООБРАЗИЯ УГРОЗ, 
КРИТЕРИЕВ И ОЦЕНОЧНЫХ ПАРАМЕТРОВ ИССЛЕДУЕМЫХ МОДЕЛЕЙ ...............................53
Калач А. В., Зыбин Д. Г., Бокадаров С. А. ОСОБЕННОСТИ ПРИМЕНЕНИЯ СИСТЕМ 
ПОДДЕРЖКИ ПРИНЯТИЯ РЕШЕНИЙ ПРИ ЛИКВИДАЦИИ ПОСЛЕДСТВИИ 
ЧРЕЗВЫЧАЙНЫХ ОБСТОЯТЕЛЬСТВ .................................................................................................60
Калач А. В., Шугай О. Е. МЕТОДИКА ОЦЕНКИ ПРИВЛЕКАТЕЛЬНОСТИ СЕГМЕНТОВ 
РЫНКА СБЫТА ПРОДУКЦИИ, ПРОИЗВОДИМОЙ ПРЕДПРИЯТИЯМИ 
УГОЛОВНО-ИСПОЛНИТЕЛЬНОЙ СИСТЕМЫ ...................................................................................67
Корчагина Е. В., Андреева Н. А., Зыбин Д. Г., Бутова Л. В. ПРОЕКТИРОВАНИЕ СИСТЕМЫ 
БЕЗОПАСНОЙ РАБОТЫ ПОЛЬЗОВАТЕЛЕЙ С СЕТЬЮ ИНТЕРНЕТ 
ЧЕРЕЗ WEB-ИНТЕРФЕЙСЫ .................................................................................................................72
Кравченко А. С., Сахаров С. Л., Ильенко В. О., Жуковская А. Д. ВОЗМОЖНОСТИ 
ПРИМЕНЕНИЯ МОДЕЛИ КОНТРОЛЯ ДОСТУПА К ИНФОРМАЦИОННЫМ РЕСУРСАМ 
НА ОСНОВЕ РОЛЕЙ .................................................................................................................................79
Кубасов И. А. МЕТОДИКА ОЦЕНКИ КОЭФФИЦИЕНТА ПРОСТОЯ 
ИНФОКОММУНИКАЦИОННЫХ СИСТЕМ ..........................................................................................83
Терентьев А. А. МАТЕМАТИЧЕСКАЯ МОДЕЛЬ АНАЛИТИЧЕСКОЙ ДЕЯТЕЛЬНОСТИ 
СИТУАЦИОННЫХ ЦЕНТРОВ ОРГАНОВ ВНУТРЕННИХ ДЕЛ .......................................................91
Терентьев А. А., Петров С. А., Лукьянов А. С. МОДЕЛИРОВАНИЕ МНОГОКРИТЕРИАЛЬНЫХ 
КОНФЛИКТОВ ПРИ ПОСТРОЕНИИ РАДИОСЕТИ ДЛЯ ОРГАНОВ ВНУТРЕННИХ ДЕЛ ..........98

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

Черепанов Е. А., Родин В. А., Калач А. В., Акулов А. Ю. ОПТИМИЗАЦИЯ ЧИСЛЕННОСТИ 
НАСОСНЫХ СТАНЦИЙ И НОВЫЕ ПРИНЦИПЫ ТРАССИРОВКИ 
РАСПРЕДЕЛИТЕЛЬНЫХ ЛИНИЙ СИСТЕМЫ НАРУЖНОГО 
ПРОТИВОПОЖАРНОГО ВОДОСНАБЖЕНИЯ ...................................................................................104
ЮРИДИЧЕСКИЕ НАУКИ

Бородина А. М., Дворянсков И. В. ЭВОЛЮЦИЯ НАКАЗАНИЙ, СВЯЗАННЫХ 
С ПОРАЖЕНИЕМ В ПРАВАХ, В ОТЕЧЕСТВЕННОМ УГОЛОВНОМ ПРАВЕ ...............................110
Бражин Ю. Ю. ПРАВОВАЯ ОЦЕНКА ПРИЗНАКОВ СУБЪЕКТА 
СЛУЖЕБНО-ЭКОНОМИЧЕСКИХ ПРЕСТУПЛЕНИЙ 
В СФЕРЕ РЕАЛИЗАЦИИ НАЦИОНАЛЬНОГО ПРОЕКТА «ЗДРАВООХРАНЕНИЕ» ..................118
Буданова Е. А., Буданов С. А. СОСТОЯНИЕ ОПЬЯНЕНИЯ КАК КРИМИНОГЕННЫЙ 
ФАКТОР ПРЕСТУПНОСТИ ...................................................................................................................124
Буслов М. М., Демидова О. В. ИНТЕРПОЛ КАК КООРДИНАТОР СОТРУДНИЧЕСТВА 
ГОСУДАРСТВ В БОРЬБЕ С ПРЕСТУПНОСТЬЮ ...............................................................................131
Бутова М. В. К ВОПРОСУ О ПРАВОВЫХ НОРМАХ, РЕГУЛИРУЮЩИХ 
ДЕЯТЕЛЬНОСТЬ ПО ПРОФИЛАКТИКЕ БЕЗНАДЗОРНОСТИ 
И ПРАВОНАРУШЕНИЙ НЕСОВЕРШЕННОЛЕТНИХ .....................................................................137
Веряскин И. А. ПОНЯТИЕ И МЕСТО ВИКТИМОЛОГИИ В СИСТЕМЕ НАУК ......................................142
Гамова О. Л., Мишина Н. О. ФОРМИРОВАНИЕ СИСТЕМЫ ТЮРЕМНОГО ОБРАЗОВАНИЯ 
В ВЕЛИКОБРИТАНИИ ..........................................................................................................................147
Головкин Р. Б., Голубева К. С., Калач Е. В., Зыбин П. Д. ТЕОРЕТИКО-ПРАВОВЫЕ ПРОБЛЕМЫ 
ПРЕСТУПНОСТИ НЕСОВЕРШЕННОЛЕТНИХ В ЦИФРОВОМ ПРОСТРАНСТВЕ .....................152
Горбацевич О. А. ПРАВОВОЕ РЕГУЛИРОВАНИЕ КОМПЛЕКТОВАНИЯ ДОЛЖНОСТЕЙ 
КАК ОДНО ИЗ НАПРАВЛЕНИЙ КАДРОВОЙ РАБОТЫ В ОРГАНАХ ВНУТРЕННИХ ДЕЛ ......157
Дубов Е. И. СУЩНОСТЬ И ПРИЗНАКИ КОЛЛЕКТОРСКОЙ ДЕЯТЕЛЬНОСТИ .................................162
Кравцов И. А. ХАРАКТЕРИСТИКА ЛИЧНОСТИ ПРЕСТУПНИКА, 
СОВЕРШИВШЕГО МОШЕННИЧЕСТВО ПРИ ПОЛУЧЕНИИ ВЫПЛАТ 
ПОСРЕДСТВОМ ПРЕДОСТАВЛЕНИЯ ФИКТИВНЫХ ДОКУМЕНТОВ 
АПТЕЧНЫХ ОРГАНИЗАЦИЙ ..............................................................................................................168
Кутаков Н. Н., Антипов А. Ю. ТЕОРЕТИЧЕСКИЕ И ПРИКЛАДНЫЕ АСПЕКТЫ 
ОРГАНИЗАЦИИ ПРОФИЛАКТИЧЕСКОЙ ДЕЯТЕЛЬНОСТИ 
ПО ПРЕДУПРЕЖДЕНИЮ РАСПРОСТРАНЕНИЯ СРЕДИ ОСУЖДЕННЫХ 
КРИМИНАЛЬНОЙ И ЭКСТРЕМИСТСКОЙ ИДЕОЛОГИИ ...............................................................174
Лелеков В. А., Бородин А. Д. ЮВЕНАЛЬНАЯ ЮСТИЦИЯ В РОССИИ: 
ИСТОРИЯ И ПЕРСПЕКТИВЫ ВОЗРОЖДЕНИЯ  ..............................................................................179
Лещенко С. А. ПРАВОВАЯ ПРАКТИКА ПОЛУЧЕНИЯ ВЫСШЕГО ОБРАЗОВАНИЯ 
ОСУЖДЕННЫМИ К ЛИШЕНИЮ СВОБОДЫ ....................................................................................185
Мишурова Ж. И. СОСТОЯНИЕ И ОСОБЕННОСТИ СТУДЕНЧЕСКОЙ ПРЕСТУПНОСТИ 
В СОВРЕМЕННОЙ РОССИИ  .................................................................................................................191
Пучнин А. В., Миненко П. В. ФЕРМЫ АККАУНТОВ СОЦИАЛЬНЫХ СЕТЕЙ 
КАК ОБЪЕКТ ОПЕРАТИВНОЙ ЗАИНТЕРЕСОВАННОСТИ ............................................................197
Пырьева Е. И., Потанина И. В., Попова Н. В. ТАКТИЧЕСКИЕ ОСОБЕННОСТИ 
ПРОИЗВОДСТВА ОТДЕЛЬНЫХ СЛЕДСТВЕННЫХ ДЕЙСТВИЙ 
ПРИ РАССЛЕДОВАНИИ УБИЙСТВ, СОВЕРШЕННЫХ НЕСОВЕРШЕННОЛЕТНИМИ ...........204
Ремизова Л. С. ПРАВОВОЕ РЕГУЛИРОВАНИЕ И ОРГАНИЗАЦИЯ ДЕЯТЕЛЬНОСТИ 
ПРОКУРАТУРЫ И УПОЛНОМОЧЕННОГО ПО ПРАВАМ ЧЕЛОВЕКА 
ПО ВОПРОСАМ ИХ ВЗАИМОДЕЙСТВИЯ  .........................................................................................210
Соколова О. В. АКТУАЛЬНЫЕ ПРОБЛЕМЫ СУДЕБНОЙ ДЕЯТЕЛЬНОСТИ 
В ПРЕДДВЕРИИ Х ВСЕРОССИЙСКОГО СЪЕЗДА СУДЕЙ .............................................................216
Соколова А. В., Тимонин Р. В. АНАЛИЗ РЕГИОНАЛЬНОЙ БЕЗОПАСНОСТИ 
(НА ПРИМЕРЕ ГОРОДА ВЛАДИМИРА) ............................................................................................222
Чепрасова Ю. В., Шмарион П. В. ВИКТИМОЛОГИЧЕСКАЯ ПРОФИЛАКТИКА 
ПРЕСТУПЛЕНИЙ, СОВЕРШАЕМЫХ С ИСПОЛЬЗОВАНИЕМ ИНФОРМАЦИОННЫХ 
И ТЕЛЕКОММУНИКАЦИОНННЫХ ТЕХНОЛОГИЙ .......................................................................228
ПРАВИЛА ДЛЯ АВТОРОВ ..........................................................................................................................233

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

Proceedings 
of Voronezh Institute 
of the Russian Federal 
Penitentionary Service

Proceedings of Voronezh Institute of the Russian Federal Penitentionary Service, 2020, 
№ 3, July–September

RADIOENGINEERING AND COMMUNICATION

Pirogov A. A., Pirogova Yu. A., Bashkirov A. V., Chepelev M. Yu., Zhilin B. I. DESIGN PROCEDURE 
OF THE GRAY CODE CONVERTER ON FPGA .........................................................................................9
INFORMATION SCIENCE, COMPUTING AND MANAGEMENT

Burkova K. O., Lazareva E. A., Gleyberman N. S., Kalach A. V. CURRENT ISSUES 
OF INFORMATION SECURITY DURING INTERNET VOTING FOR AMENDMENTS 
TO THE CONSTITUTION OF RUSSIA .....................................................................................................15
Vitkova L. A., Chechulin A. A., Saharov D. V. CHOOSING MEASURES TO COUNTER 
MALICIOUS INFORMATION IN SOCIAL NETWORKS ........................................................................20
Gavrilov V. E., Zatsarinny A. A. STUDY OF THE PROBLEMS OF NORMATIVE-METHODICAL 
MANAGEMENT IN THE FIELD OF INFORMATION SECURITY PROCESSES 
FOR THE CREATION AND IMPLEMENTATION OF INFORMATION TECHNOLOGIES 
DEVELOPED IN THE FRAMEWORK OF THE «DIGITAL ECONOMY» ..............................................30
Grechanyi S. A., Loginov S. P., Romanov M. S., Marchenko K. S. DETERMINATION 
OF INDICATORS OF THE EFFECTIVENESS OF TRAINING LAW 
ENFORCEMENT OFFICERS TO PERFORM OFFICIAL DUTIES RELATED 
TO THE USE OF WEAPONS .....................................................................................................................38
Zhilin R. A., Melnikov A. V., Sherbakova I. V. NUMERICAL METHOD FOR EVALUATING 
THE NEED TO USE ALTERNATIVE COALITIES IN ANALYSIS OF INTEGRAL 
INDICATORS OF HAZARD OF VIOLATORS IN THE FIELD OF PHYSICAL 
PROTECTION OF OBJECTS ......................................................................................................................45
Isaev O. V., Isaeva K. V., Tolstykh O. V. METHODS OF PREDICTING AND EVALUATING 
THE EFFECTIVENESS OF COMPLEX SYSTEMS IN THE CONTEXT 
OF MULTIPLE THREATS, CRITERIA AND ESTIMATED PARAMETERS 
OF THE MODELS UNDER STUDY ..........................................................................................................53
Kalach A. V., Zybin D. G., Bokadarov S. A. FEATURES OF THE APPLICATION OF DECISION 
SUPPORT SYSTEMS FOR EMERGENCY RESPONSE .........................................................................60
Kalach A. V., Shugaj O. E. METHODS OF SEGMENTATION OF THE SALES MARKET 
OF PRODUCTS PRODUCED BY ENTERPRISES OF THE PENAL SYSTEM ......................................67
Korchagina E. V., Andreeva N. A., Zybin D. G., Butova L. V. DESIGNING THE SYSTEM 
OF SAFE WORK OF USERS WITH THE INTERNET NETWORK 
THROUGH WEB INTERFACES ...............................................................................................................72
Kravchenko A. S., Sakharov S. L., Ilenko V. O., Zhukovskaya A. D. ROLE-BASED ACCESS 
CONTROL MODEL FOR INFORMATION RESOURCES .......................................................................79
Kubasov I. A. METHODOLOGY FOR ESTIMATING THE DOWNTIME FACTOR 
OF INFOCOMMUNICATION SYSTEMS ..................................................................................................83
Terentyev А. А. MATHEMATICAL MODEL OF ANALYTICAL ACTIVITY 
OF SITUATION CENTERS OF BODIES OF INTERNAL AFFAIRS ......................................................91
Terentyev А. А., Petrov S. A., Lukyanov A. S. MODELING MULTI-CRITERIA CONFLICTS 
WHEN BUILDING A RADIO NETWORK FOR INTERNAL AFFAIRS BODIES ................................98

SCIENTIFIC 
MAGAZINE

Four times a year

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

Cherepanov E. A., Rodin V. A., Kalach A. V., Akulov A. Yu. OPTIMIZATION OF NUMBER 
OF PUMPING STATIONS AND NEW PRINCIPLES OF ROUTING 
OF DISTRIBUTION LINES OF EXTERNAL FIRE-FIGHTING WATER SUPPLY SYSTEM ...........104
JURISPRUDENCE

Borodina A. M., Dvoryankov I. V. EVOLUTION OF PUNISHMENTS RELATED 
TO THE DEFEAT OF RIGHTS IN DOMESTIC CRIMINAL LAW ........................................................110
Brazhin Yu. Yu. LEGAL ASSESSMENT OF THE CHARACTERISTICS OF THE SUBJECT 
OF SERVICE-ECONOMIC CRIMES IN THE IMPLEMENTATION 
OF THE NATIONAL PROJECT «HEALTHCARE» .................................................................................118
Budanova Е. А., Budanov S. A. STATE OF INTOXICATION AS A CRIMINOGENIC FACTOR 
OF CRIME ..................................................................................................................................................124
Buslov M. M., Demidova O. V. INTERPOL AS COORDINATOR OF COOPERATION 
OF STATES IN THE FIGHT AGAINST CRIME .....................................................................................131
Butova M. V. ON THE ISSUE OF LEGAL NORMS REGULATING THE PREVENTION 
OF CHILD NEGLECT AND JUVENILE DELINQUENCIES ................................................................137
Veryaskin I. A. THE CONCEPT AND PLACE OF VICTIMOLOGY IN THE SYSTEM OF SCIENCES ......142
Gamova O. L., Mishina N. O. DEVELOPMENT OF A PRISON EDUCATION SYSTEM 
IN THE UNITED KINGDOM  ..................................................................................................................147
Golovkin R. B., Golubeva K. S., Kalach E. V., Zybin P. D. THEORETICAL AND LEGAL 
PROBLEMS OF JUVENILE DELINQUENCY IN THE DIGITAL SPACE ...........................................152
Gorbatsevich O. A. LEGAL REGULATION OF RECRUITMENT AS ONE OF THE AREAS 
OF PERSONNEL WORK IN THE INTERNAL AFFAIRS BODIES .....................................................157
Dubov E. I. THE ESSENCE AND CHARACTERISTICS OF COLLECTION ACTIVITIES ........................162
Kravtsov I. А. CHARACTERISTICS OF THE IDENTITY OF THE CRIMINAL WHO COMMITTED 
FRAUD WHEN RECEIVING PAYMENTS BY PROVIDING FICTITIOUS DOCUMENTS OF 
PHARMACY ORGANIZATIONS .............................................................................................................168
Kutakov N. N., Antipov A. Yu. THEORETICAL AND APPLIED ASPECTS OF THE ORGANIZATION 
OF PREVENTIVE ACTIVITIES TO PREVENT THE SPREAD OF CRIMINAL AND EXTREMIST 
IDEOLOGIES AMONG CONVICTS .........................................................................................................174
Lelekov V. A., Borodin A. D. JUVENILE JUSTICE IN RUSSIA: 
HISTORY AND PROSPECTS OF REVIVAL ..........................................................................................179
Leshchenko S. A. LEGAL REGULATION AND PROSPECTS FOR THE DEVELOPMENT 
OF HIGHER EDUCATION FOR PERSONS SENTENCED TO IMPRISONMENT .............................185
Mishurova Zh. I. STATE AND FEATURES OF STUDENT CRIMINALITY IN MODERN RUSSIA .........191
Puchnin A. V., Minenko P. V. FARMS OF SOCIAL NETWORKS ACCOUNTS 
AS AN OBJECT OF OPERATIONAL INTEREST ...................................................................................197
Puchnina M. Yu. ALGORITHM FOR ACTIONS OF “DEATH GROUPS” IN THE SOCIAL 
SEGMENT OF THE INTERNET AND MODERN COUNTERACTION MEASURES .........................196
Pyrieva E. I., Potanina I. V., Popova N. V. TACTICAL FEATURES OF THE PRODUCTION 
OF INDIVIDUAL INVESTIGATIVE ACTIONS IN THE INVESTIGATION 
OF MURDERS COMMITTED BY MINORS ............................................................................................204
Remizova L. S. LEGAL REGULATION AND ORGANIZATION OF ACTIVITIES 
OF THE PROSECUTOR’S OFFICE AND THE COMMISSIONER FOR HUMAN RIGHTS 
ON ISSUES OF THEIR INTERACTION .................................................................................................210
Sokolova O. V. CURRENT PROBLEMS OF JUDICIAL ACTIVITY ON THE EVE 
OF THE X ALL-RUSSIAN CONGRESS OF JUDGES ...........................................................................216
Sokolova A. V., Timonin R. V. ANALYSIS OF REGIONAL SECURITY 
(ON THE EXAMPLE OF THE CITY OF VLADIMIR)  ..........................................................................220
Cheprasova Yu. V., Shmarion P. V. VICTIMOLOGICAL PREVENTION OF CRIMES 
COMMITTED WITH THE USE OF INFORMATION AND TELECOMMUNICATION 
TECHNOLOGIES ......................................................................................................................................228
REQUIREMENTS FOR THE AUTHORS ......................................................................................................233

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

РАДИОТЕХНИКА И СВЯЗЬ

УДК 621.37

МЕТОДИКА ПРОЕКТИРОВАНИЯ 
ПРЕОБРАЗОВАТЕЛЯ КОДА ГРЕЯ НА ПЛИС

© 2020       А. А. Пирогов1, Ю. А. Пирогова1, А. В. Башкиров1, М. Ю. Чепелев2, Б. И. Жилин3

1Воронежский государственный технический университет,
Московский пр-т, 14, 394026, г. Воронеж, Россия
2Воронежский институт ФСИН России,
ул. Иркутская, 1а, 394072, г. Воронеж, Россия

3Военный учебно-научный центр Военно-воздушных сил 
«Военно-воздушная академия им. проф. Н. Е. Жуковского и Ю. А. Гагарина»,
ул. Старых Большевиков, 54а, 394052, г. Воронеж, Россия
E-mail: pirogov.alx@gmail.com

Поступила в редакцию 29.05.2020 г.

Аннотация. При передаче, отображении и хранении информации в зависимости от структуры 
и сложности обработки в вычислительной технике применяются различные системы кодирования. Коды применяются для представления дискретной информации с целью защиты и 
исправления возможных ошибок в комбинации. В данной статье рассмотрен принцип построения логических моделей преобразователей кода Грея с использованием ПЛИС, их функциональная верификация. Код Грея применяется при передаче цифровых сигналов без использования тактовых сигналов синхронизации.
Ключевые слова: система кодирования, кодовая комбинация, логический уровень, временная 
диаграмма.

СТРУКТУРА КОДА ГРЕЯ
Код Грея представляет собой двоичный код, 
в котором при лексикографическом наборе две 
соседние кодовые комбинации отличаются 
только одним символом. Код Грея также получил название зеркальный, или код с отражением. Первая половина значений кодовой комбинации при изменении порядка эквивалентна 
второй половине, кроме старшего бита последовательности, при этом старший бит инвертируется. Существует несколько вариантов кодов 
Грея, одним из которых является рефлексивный 
(отраженный) вид. На рисунке 1 представлена 
структура зеркального двоичного кода Грея. 
Более наглядно принцип формирования кодовых комбинаций можно продемонстрировать 
графическим способом, с помощью энкодера. 
На рисунке 2 представлен энкодер четырехразрядного кода Грея.
Код Грея изначально предназначался для 
защиты от ложного срабатывания электромеханических переключателей. На сегодняшний 
день коды Грея широко используются для упрощения выявления и исправления ошибок в 

системах связи, а также в формировании сигналов обратной связи в системах управления. 
Код Грея основан на ряде положений:
1. Отсутствие избытка – одинаковое количество разрядов в коде Грея и прямом двоичном 
коде (табл. 1).
2. Помехозащищенность – каждая последующая комбинация отличается от предыдущей 
значением только одного разряда.

Рис. 1. Структура зеркального двоичного кода Грея

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

Рис. 2. Графическое представление кода Грея 
с помощью энкодера

3. Цикличность – первая комбинация отличается от последней также значением только 
одного разряда.
4. Формирование кода происходит со стороны младших разрядов, и добавление нового 
разряда осуществляется только в том случае, 
если израсходованы все возможные комбинации.
Преобразование кода Грея в двоичный код 
можно представить следующим выражением:

 
B
G
B
i
i
i
=
≈
+1 , 
(1)
где ≈  – операция «исключающее ИЛИ».
Пользуясь формулой (1) и таблицей истинности для кода Грея и двоичного кода, получим 
минимизированные формы выражений зави
симостей между элементами кодов, представленных в полном базисе:

 
B
G
2
2
=
, 
(2)

 
B
B
G
2
2
2
=
≈
, 
(3)

 
B
B
G
1
2
1
=
≈
, 
(4)

 
B
B
G
0
1
0
=
≈
. 
(5)

ПОСТРОЕНИЕ МОДЕЛЕЙ 
ПРЕОБРАЗОВАТЕЛЕЙ КОДА ГРЕЯ
На основе полученных выражений построим 
схему преобразователя прямого четырехразрядного двоичного кода в код Грея в полном базисе. В результате получим модель устройства, 
представленную на рисунке 3. 
Модель включает четыре входных порта Bi 
для подачи исходных комбинаций двоичного 
кода и порты Gi, на выходе которых формируются импульсы, соответствующие элементам 
кодовой последовательности Грея. Схема состоит их элементов XOR, реализующих функцию 
исключающего ИЛИ и выходного модуля буфера BUF [1].
Реализация модели преобразователя на 
языке описания аппаратуры VHDL представлена ниже:
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;

Таблица 1
Сравнительная таблица истинности для кода Грея и прямого двоичного кода

Код Грея
Двоичный код
№
G3
G2
G1
G0
№
B3
B2
B1
B0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
1
1
0
0
0
1
3
0
0
1
1
2
0
0
1
0
2
0
0
1
0
3
0
0
1
1
6
0
1
1
0
4
0
1
0
0
7
0
1
1
1
5
0
1
0
1
5
0
1
0
1
6
0
1
1
0
4
0
1
0
0
7
0
1
1
1
12
1
1
0
0
8
1
0
0
0
13
1
1
0
1
9
1
0
0
1
15
1
1
1
1
10
1
0
1
0
14
1
1
1
0
11
1
0
1
1
10
1
0
1
0
12
1
1
0
0
11
1
0
1
1
13
1
1
0
1
9
1
0
0
1
14
1
1
1
0
8
1
0
0
0
15
1
1
1
1

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

library UNISIM;
use UNISIM.Vcomponents.ALL;
entity Grey1 is
   port ( B0 : in    std_logic; 
          B1 : in    std_logic; 
          B2 : in    std_logic; 
          B3 : in    std_logic; 
          G0 : out   std_logic; 
          G1 : out   std_logic; 
          G2 : out   std_logic; 
          G3 : out   std_logic);
end Grey1;
architecture BEHAVIORAL of Grey1 is
   attribute BOX_TYPE   : string ;
   component XOR2
      port ( I0 : in    std_logic; 
             I1 : in    std_logic; 
             O  : out   std_logic);
   end component;
   attribute BOX_TYPE of XOR2 : component 
is “BLACK_BOX”;
component BUF
      port ( I : in    std_logic; 
             O : out   std_logic);
   end component;
   attribute BOX_TYPE of BUF : component 
is “BLACK_BOX”;
begin
XLXI_1 : XOR2
      port map (I0=>B1,
                I1=>B0,
                O=>G0);
XLXI_2 : XOR2
      port map (I0=>B2,
                I1=>B1,
                O=>G1);
XLXI_3 : XOR2
      port map (I0=>B3,
                I1=>B2,
                O=>G2);
XLXI_5 : BUF
      port map (I=>B3,
                O=>G3);
end BEHAVIORAL;
Аналогично построим схему обратного преобразователя из кода Грея в прямой двоичный 
код. Схема преобразователя четырехразрядного 
кода Грея в прямой двоичный код представлена 
на рисунке 4. Модель также включает четыре 
входных порта Gi для подачи комбинаций кода 
Грея и порты Bi, на выходе которых формируется выходной прямой двоичный код [2].

Реализация устройства на языке описания 
аппаратуры VHDL представлена ниже:
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
library UNISIM;
use UNISIM.Vcomponents.ALL;
entity Grey2 is
   port ( G0 : in    std_logic; 
          G1 : in    std_logic; 
          G2 : in    std_logic; 
          G3 : in    std_logic; 
          B0 : out   std_logic; 

Рис. 3. Схема преобразователя прямого четырехразрядного двоичного кода в код Грея

Рис. 4. Схема преобразователя четырехразрядного 
кода Грея в прямой двоичный код

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

          B1 : out   std_logic; 
          B2 : out   std_logic; 
          B3 : out   std_logic);
end Grey2;
architecture BEHAVIORAL of Grey2 is
   attribute BOX_TYPE   : string ;
   signal B1_DUMMY : std_logic;
   signal B2_DUMMY : std_logic;
   component XOR2
      port ( I0 : in    std_logic; 
             I1 : in    std_logic; 
             O  : out   std_logic);
   end component;
   attribute BOX_TYPE of XOR2 : component 
is “BLACK_BOX”;
   component BUF
      port ( I : in    std_logic; 
             O : out   std_logic);
   end component;
   attribute BOX_TYPE of BUF : component 
is “BLACK_BOX”;
begin
   B1 <= B1_DUMMY;
   B2 <= B2_DUMMY;

   XLXI_2 : XOR2
      port map (I0=>B1_DUMMY,
                I1=>G0,
                O=>B0);
XLXI_3 : XOR2
      port map (I0=>B2_DUMMY,
                I1=>G1,
                O=>B1_DUMMY);
XLXI_4 : XOR2
      port map (I0=>G3,
                I1=>G2,
                O=>B2_DUMMY);
XLXI_6 : BUF
      port map (I=>G3,
                O=>B3);
end BEHAVIORAL;

ВЕРИФИКАЦИЯ МОДЕЛЕЙ 
ПРЕОБРАЗОВАТЕЛЕЙ
В результате моделирования получены следующие временные диаграммы работы преобразователей (рис. 5 и 6) [3]. Проанализировав 
данные диаграммы, можно сделать вывод, что 
построенные модели преобразователей 

Рис. 5. Временная диаграмма преобразователя прямого четырехразрядного двоичного кода в код Грея

Рис. 6. Временная диаграмма преобразователя четырехразрядного кода Грея в прямой двоичный код

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

в код Грея из двоичного кода и обратно работают корректно, в соответствии с заданными 
функциями и таблицей истинности [4].
Для формирования приведенных временных диаграмм работы преобразователей кодов 
был сформирован набор тестовых векторов для 
каждого входного сигнала [5]. В данном случае 
задаются переменные импульсы с интервалом 
моделирования 100 нс.

ВЫВОДЫ
В результате проведенного исследования 
получены модели преобразователей кода Грея 
в полном базисе. Моделирование было проведено в программном комплексе Xilinx ISE с 
использованием встроенного схемного редактора, а также оболочки программирования на 
языке VHDL. Полученные временные диаграммы соответствуют таблице истинности кода 
Грея, что подтверждает правильную структуру 
устройств.

СПИСОК ЛИТЕРАТУРЫ
1. Методика проектирования синтезатора частот 
прямого цифрового синтеза на базе ПЛИС / 

А. А. Пирогов, Е. А. Бочаров, Э. В. Сёмка, О. Ю. Макаров // Вестник Воронежского государственного 
технического университета. – 2018. – Т. 14, № 6. – 
С. 108–116.
2. Сравнение статистических методов испытаний 
надёжности РЭС / А. С. Костюков, И. С. Бобылкин, 
Л. Н. Никитин, А. А. Пирогов // Труды международного симпозиума Надежность и качество (г. Пенза). – 2018. – Т. 2. – С. 35–37.
3. Самодуров А. С. Разработка элементов программного комплекса анализа и синтеза сверхширокополосных антенн аппаратуры радиоконтроля 
мобильного и стационарного базирования / А. С. Самодуров // Вестник Воронежского государственного технического университета. – 2012. – Т. 8, 
№ 7-1. – С. 122–125.
4. Башкиров А. В. Эффективная архитектура на 
основе ПЛИС для полностью параллельного стохастического LDPC-декодера / А. В. Башкиров, 
И. В. Свиридова, Д. С. Андреева // Вестник Воронежского государственного технического университета. – 2018. – Т. 14, № 3. – С. 101–107.
5. Башкиров А. В. Реализация стохастического 
LDPC-декодера на ПЛИС / А. В. Башкиров, 
И. В. Свиридова // Вестник Воронежского государственного технического университета. – 2018. – Т. 14, 
№ 6. – С. 103–107.

DESIGN PROCEDURE OF THE GRAY CODE CONVERTER ON FPGA

© 2020          A. A. Pirogov1, Yu. A. Pirogova1, A. V. Bashkirov1, M. Yu. Chepelev2, B. I. Zhilin3

1Voronezh State Technical University, 
Moscow Av., 14, 394026, Voronezh, Russia

2Voronezh institute of the Federal Penitentiary Service of Russia,
Irkutskaya St., 1a, 394072, Voronezh, Russia
3Military Training and Scientific Center of the Air Force 
«Air Force Academy named after prof. N. E. Zhukovsky and Yu. A. Gagarina»
Starykh Bolshevikov St., 54a, 394052, Voronezh, Russia
Email: pirogov.alx@gmail.com

Received 29.05.2020

Annotation. When transmitting, displaying and storing information, depending on the structure 
and complexity of processing, various coding systems are used in computer technology. Codes are 
used to represent discrete information in order to protect and correct possible errors in combination. 
This article discusses the principle of constructing logical models of Gray code converters using 
FPGAs, their functional verification. Gray code is used when transmitting digital signals without 
using clock synchronization signals.
Keywords: coding system, code combination, logic level, timing diagram.

ВЕСТНИК ВОРОНЕЖСКОГО ИНСТИТУТА ФСИН РОССИИ, 2020, № 3

REFERENCES
1. Pirogov A. A., Bocharov E. A., Sjomka Je. V., 
Makarov O. Ju. Metodika proektirovanija sintezatora 
chastot prjamogo cifrovogo sinteza na baze PLIS. 
Vestnik Voronezhskogo gosudarstvennogo tehnicheskogo 
universiteta, 2018, vol. 14, № 6, pp. 108–116.
2. Kostjukov A. S., Bobylkin I. S., Nikitin L. N., 
Pirogov A. A. Sravnenie statisticheskih metodov ispytanij nadjozhnosti RJeS. Trudy mezhdunarodnogo 
simpoziuma Nadezhnost’ i kachestvo (g. Penza), 2018, 
vol. 2, pp. 35–37.
3. Samodurov A. S. Razrabotka jelementov programmnogo kompleksa analiza i sinteza sverhshiro
kopolosnyh antenn apparatury radiokontrolja 
mobil’nogo i stacionarnogo bazirovanija. Vestnik Voronezhskogo gosudarstvennogo tehnicheskogo universiteta, 2012, vol. 8, №7-1, pp. 122–125.
4. Bashkirov A. V., Sviridova I. V., Andreeva D. S. 
Jeffektivnaja arhitektura na osnove PLIS dlja polnost’ju 
parallel’nogo stohasticheskogo LDPC-dekodera. Vestnik Voronezhskogo gosudarstvennogo tehnicheskogo 
universiteta, 2018, vol. 14, № 3, pp. 101–107.
5. Bashkirov A. V., Sviridova I. V. Realizacija stohasticheskogo LDPC-dekodera na PLIS. Vestnik Voronezhskogo gosudarstvennogo tehnicheskogo universiteta, 2018, vol. 14, № 6, pp. 103–107.

Пирогов Александр Александрович – доцент кафедры конструирования и производства 
радиоаппаратуры Воронежского государственного технического университета, кандидат 
технических наук, доцент. E-mail: pirogov.alx@
gmail.com

Пирогова Юлия Александровна – магистрант Воронежского государственного технического университета. E-mail: gvendilon@mail.ru

Башкиров Алексей Викторович – доцент 
кафедры конструирования и производства радиоаппаратуры Воронежского государственного технического университета, доктор технических наук, доцент. E-mail: fabi7@mail.ru

Чепелев Михаил Юрьевич – доцент кафедры основ радиотехники и электроники Воронежского института ФСИН России, кандидат 
технических наук, доцент. E-mail: mich72@
mail.ru

Жилин Борис Иванович – старший преподаватель Военного учебно-научного центра 
Военно-воздушных сил «Военно-воздушная 
академия им. проф. Н. Е. Жуковского и 
Ю. А. Гагарина», кандидат технических наук. 
E-mail: borishms@mail.ru

Pirogov Aleksandr Aleksandrovich – associate professor of chair of design and production of 
radio equipment of the Voronezh State Technical 
University, candidate of technical sciences, associate professor. E-mail:  pirogov.alx@gmail.
com

Pirogova Julija Aleksandrovna – undergraduate of the Voronezh State Technical University. 
E-mail: gvendilon@mail.ru

Bashkirov Aleksej Viktorovich – associate 
professor of chair of design and production of 
radio equipment of the Voronezh State Technical 
University, doctor of technical sciences, associate 
professor. E-mail: fabi7@mail.ru

Chepelev Mihail Jurjevich – associate professor of chair bases of a radio engineering and electronics of Voronezh institute of the Russian 
Federal Penitentiary Service, candidate of technical sciences, associate professor. E-mail: mich72@
mail.ru

Zhilin Boris Ivanovich – senior lecturer of the 
Military training and scientific center of the Air 
force «Air force academy of the prof. N. E. Zhukovsky and Yu. A. Gagarin», candidate of technical sciences. E-mail: borishms@mail.ru